• Home
  • Kemi
  • Astronomien
  • Energi
  • Naturen
  • Biologi
  • Fysik
  • Elektronik
  • Imec skjuter gränserna för EUV -litografi vid enstaka exponering

    EUV enkel mönster av (vänster) N5 32nm metal-2-lagret, (mitten) 32nm täta linjer, och (höger) 40nm sexkantiga kontakthål och pelare. Kredit:IMEC

    Imec, det världsledande forsknings- och innovationsnavet inom nanoelektronik och digital teknik, fortsätter att förbättra beredskapen för EUV -litografi med särskilt fokus på EUV -exponering av Logic N5 -metallskikt, och av aggressiva täta hålmatriser. Imecs tillvägagångssätt för att möjliggöra EUV-mönster i dessa dimensioner är baserat på samoptimering av olika litografiska möjliggörare, inklusive material, metrologi, designregler, efterbehandling och en grundläggande förståelse för kritiska EUV -processer. Resultaten, som kommer att presenteras i flera uppsatser vid veckans SPIE Advanced Lithography Conference 2018, syftar till att påtagligt påverka teknikens färdplan och skivkostnaden för kortsiktiga tekniknoder för logik och minne.

    När industrin gör betydande förbättringar i EUV -infrastrukturens beredskap, första införandet av EUV-litografi i högvolymstillverkning förväntas i den kritiska back-end-of-line metallen och via lager av gjuteriet N7 Logic-tekniknoden, med metallhöjningar i intervallet 36–40nm. Imecs forskning fokuserar på nästa nod (32 nm tonhöjd och lägre), där olika mönstermetoder övervägs. Dessa tillvägagångssätt varierar avsevärt när det gäller komplexitet, wafer kostnad, och tid att ge efter, och inkludera variationer av EUV multipatterning, hybrid EUV och immersion multipatterning, och EUV single expose. På SPIE förra året, imec presenterade många framsteg inom hybridmultipatroning och avslöjade olika utmaningar med den mer kostnadseffektiva lösningen för enstaka exponering för EUV. Det här året, imec och dess partners visar betydande framsteg mot att möjliggöra dessa dimensioner med EUV -exponering.

    Imecs väg omfattar en samoptimering av olika litografiska möjliggörare, inklusive resistmaterial, stapla och efterbehandla, metrologi, beräknings-lito och designteknologisk samoptimering, och en grundläggande förståelse av EUV -resistreaktionsmekanismer och av stokastiska effekter. Baserat på detta övergripande tillvägagångssätt, imec har visat lovande framsteg inklusive initiala elektriska resultat, på EUV-exponering med fokus på två primära användningsfall:logik N5 32nm pitch metal-2-lager och 36nm pitch-kontakthålsarrayer.

    I samarbete med sina många materialpartners, imec bedömde olika resistmaterialstrategier, inklusive kemiskt amplifierade motstånd, metallinnehållande resistenter och sensibiliseringsbaserade motstånd. Särskild uppmärksamhet ägnades åt resist -grovheten, och till nanofel såsom nanobridges, trasiga linjer eller saknade kontakter som orsakas av den stokastiska EUV -mönsterregimen. Dessa stokastiska misslyckanden begränsar för närvarande minimimåtten för enstaka exponerings -EUV. Baserat på detta arbete, imec fördjupade sig i den grundläggande förståelsen av stokastik och identifierade de primära beroenden som påverkar misslyckanden. Dessutom, olika metrologiska tekniker och hybridstrategier har använts för att säkerställa en korrekt bild av stokastikens verklighet. Imec kommer att rapportera om detta kollektiva arbete, demonstrera prestanda för olika toppmoderna linje-utrymme och kontakthål motstår.

    Eftersom motståndsmaterial framsteg enbart kommer sannolikt att vara otillräckliga för att uppfylla kraven, imec har också fokuserat på att samoptimera fotomask, filmstack, EUV -exponeringar och ets mot ett integrerat mönsterflöde för att uppnå fullständig mönstring av strukturerna. Detta gjordes med hjälp av beräkningslitografitekniker som optisk närhetskorrigering och källmaskoptimering, kompletterat med designteknologisk samoptimering för att minska standardbibliotekets cellområden. Till sist, etsbaserade efterbehandlingstekniker som syftar till att jämna ut bilderna efter litografistegen ger uppmuntrande resultat för täta funktioner. Samoptimering av dessa flera knappar är nyckeln till att uppnå optimerad mönster- och kantplaceringsfelkontroll.

    Greg McIntyre, Direktör för avancerat mönster vid imec sammanfattar:

    "Vi anser att det här är mycket lovande framsteg för att möjliggöra för EUV att på ett tillförlitligt sätt uppnå enstaka mönster vid dessa aggressiva dimensioner. Detta skulle avsevärt påverka kostnadseffektiviteten med mönsterlösningar för de närmaste teknologinoderna."


    © Vetenskap https://sv.scienceaq.com